S. Lin and D. J. Costello, Error Control Coding, 2004.

C. Vanstraceele, B. Geller, J. P. Barbot, and J. M. Brossier, A low complexity block turbo decoder architecture - [transactions letters], IEEE Transactions on Communications, vol.56, issue.12, pp.1985-1989, 2008.
DOI : 10.1109/TCOMM.2008.050636

C. Shannon, A Mathematical Theory of Communication, Bell System Technical Journal, vol.27, issue.3, pp.379-423, 1948.
DOI : 10.1002/j.1538-7305.1948.tb01338.x

B. Chen, Z. Qin, and K. Cai, Channel capacity and soft-decision decoding of LDPC codes for spin-torque transfer magnetic random access memory, STT-MRAM), " Intern. Conf. on Computing, Networking and Communications 2013, 2013.

W. Wen, M. Mao, X. Zhu, and S. H. Kang, CD-ECC: Content-dependent error correction codes for combating asymmetric nonvolatile memory operation errors, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp.18-21, 2013.
DOI : 10.1109/ICCAD.2013.6691090

X. Fong, S. H. Choday, and K. Roy, Bit-Cell Level Optimization for Non-volatile Memories Using Magnetic Tunnel Junctions and Spin-Transfer Torque Switching, IEEE Transactions on Nanotechnology, vol.11, issue.1, pp.172-181, 2012.
DOI : 10.1109/TNANO.2011.2169456

W. S. Zhao, Y. Zhang, T. Devolder, J. Klein, D. Ravelosona et al., Failure and reliability analysis of STT-MRAM, Microelectronics Reliability, vol.52, issue.9-10, pp.1848-1852, 2012.
DOI : 10.1016/j.microrel.2012.06.035

H. Wang, K. Zhao, J. Li, and T. Zhang, Optimizing the Use of STT-RAM in SSDs Through Data-Dependent Error Tolerance, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.23, issue.11
DOI : 10.1109/TVLSI.2014.2367311

C. Yang, Y. Emre, Y. Cao, and C. Chakrabarti, Improving reliability of non-volatile memory technologies through circuit level techniques and error control coding, EURASIP Journal on Advances in Signal Processing, vol.2012, issue.1, pp.1-24, 2012.
DOI : 10.1109/JSSC.2003.818144

W. Kang, W. Zhao, Z. Wang, Y. Zhang, J. Klein et al., A low-cost built-in error correction circuit design for STT-MRAM reliability improvement Microelectronics Reliability, pp.1224-1229

H. Sun, C. Liu, T. Min, N. Zheng, and T. Zhang, Architectural Exploration to Enable Sufficient MTJ Device Write Margin for STT-RAM Based Cache, IEEE Transactions on Magnetics, vol.48, issue.8, pp.2346-2351, 2012.
DOI : 10.1109/TMAG.2012.2193589

W. Xu, X. Wang, Y. Chen, and T. Zhang, Improving STT MRAM storage density through smaller-than-worst-case transistor sizing, Proceedings of the 46th Annual Design Automation Conference on ZZZ, DAC '09, 2009.
DOI : 10.1145/1629911.1629936

B. Geller, I. Diatta, J. P. Barbot, C. Vanstraceele, and F. Rambeau, Block Turbo Codes: From Architecture to Application, 2006 IEEE International Symposium on Information Theory, pp.1813-1816, 2006.
DOI : 10.1109/ISIT.2006.261747

J. Z. Sun15, ]. W. Zhao, E. Belhaire, Q. Mistral, C. Chappert et al., Spin-current interaction with a monodomain magnetic body: A model study, Physical Review B, vol.62, issue.1, pp.570-578, 2000.
DOI : 10.1103/PhysRevB.62.570

J. D. Harms, F. Ebrahimi, Y. Xiaofeng, and W. Jian-ping, SPICE Macromodel of Spin-Torque-Transfer-Operated Magnetic Tunnel Junctions, IEEE Transactions on Electron Devices, vol.57, issue.6, pp.1425-1430, 2010.
DOI : 10.1109/TED.2010.2047073

W. Guo, G. Prenat, V. Javerliac, M. Baraji, N. De-mestier et al., SPICE modelling of magnetic tunnel junctions written by spin-transfer torque, Journal of Physics D: Applied Physics, vol.43, issue.21, pp.215001-215002, 2010.
DOI : 10.1088/0022-3727/43/21/215001

URL : https://hal.archives-ouvertes.fr/hal-00569612

L. Faber, W. Zhao, J. Klein, T. Devolder, and C. Chappert, Dynamic compact model of Spin-Transfer Torque based Magnetic Tunnel Junction (MTJ), 2009 4th International Conference on Design & Technology of Integrated Systems in Nanoscal Era, pp.130-135, 2009.
DOI : 10.1109/DTIS.2009.4938040

A. Fert, Historical Overview, pp.3-17, 2012.
DOI : 10.1201/b11086-3

L. Berger, Emission of spin waves by a magnetic multilayer traversed by a current, Physical Review B, vol.54, issue.13, pp.9353-9358, 1996.
DOI : 10.1103/PhysRevB.54.9353

Y. Ye, F. Liu, M. Chen, and S. Nassif, Statistical Modeling and Simulation of Threshold Variation Under Random Dopant Fluctuations and Line-Edge Roughness, IEEE Trans. on Very Large Scale Integration (VLSI) Systems, pp.987-996, 2011.
DOI : 10.1109/TVLSI.2010.2043694

J. Li, C. Augustine, S. Sayeef, and K. Roy, Modeling of failure probability and statistical design of spin-torque transfer magnetic random access memory (STT MRAM) array for yield enhancement, Proceedings of the 45th annual conference on Design automation, DAC '08, pp.278-283, 2008.
DOI : 10.1145/1391469.1391540

X. Wang, Y. Zheng, H. Xi, and D. Dimitrov, Thermal fluctuation effects on spin torque induced switching: Mean and variations, Journal of Applied Physics, vol.103, issue.3, 2008.
DOI : 10.1063/1.2837800

Z. Diao, Z. Li, S. Wang, Y. Ding, A. Panchula et al., Spin-transfer torque switching in magnetic tunnel junctions and spin-transfer torque random access memory, Journal of Physics: Condensed Matter, vol.19, issue.16, pp.165209-165210, 2007.
DOI : 10.1088/0953-8984/19/16/165209

Y. Zhang, X. Wang, and Y. Chen, STT-RAM cell design optimization for persistent and non-persistent error rate reduction: A statistical design view, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp.471-477, 2011.
DOI : 10.1109/ICCAD.2011.6105370

Y. Zhang, X. Wang, Y. Li, A. K. Jones, and Y. Chen, Asymmetry of MTJ switching and its implication to STT-RAM designs, Proc. of Design, Automation & Test in Europe Conference & Exhibition (DATE) 2012, pp.1313-1318, 2012.

Y. Zhang, W. Wen, and Y. Chen, STT-RAM CELL DESIGN CONSIDERING MTJ ASYMMETRIC SWITCHING, SPIN, vol.02, issue.03, p.21240007, 2012.
DOI : 10.1142/S2010324712400073

Y. Zhang, I. Bayram, Y. Wang, H. Li, and Y. Chen, ADAMS: Asymmetric differential STT-RAM cell structure for reliable and high-performance applications, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp.9-16, 2013.
DOI : 10.1109/ICCAD.2013.6691091

K. L. Du and M. N. Swamy, Wireless Communication Systems, 2010.
DOI : 10.1017/CBO9780511841453

Y. Zhang, W. Wen, and Y. Chen, Asymmetry in STT-RAM Cell Operations, Emerging Memory Technologies -Design, Architecture, and Applications, pp.117-144, 2014.
DOI : 10.1007/978-1-4419-9551-3_5

W. Kang, Z. Li, J. Klein, Y. Chen, Y. Zhang et al., Variation-Tolerant and Disturbance-Free Sensing Circuit for Deep Nanometer STT-MRAM, IEEE Transactions on Nanotechnology, vol.13, issue.6, pp.1088-1092, 2014.
DOI : 10.1109/TNANO.2014.2357054

X. Wang, Y. Chen, H. Li, D. Dimitrov, and H. Liu, Spin Torque Random Access Memory Down to 22 nm Technology, IEEE Transactions on Magnetics, vol.44, issue.11, pp.2479-2482, 2008.
DOI : 10.1109/TMAG.2008.2002386

W. Xu, T. Zhang, and Y. Chen, Design of Spin-Torque Transfer Magnetoresistive RAM and CAM/TCAM with High Sensing and Search Speed, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.18, issue.1, pp.66-74, 2009.
DOI : 10.1109/TVLSI.2008.2007735

C. Cheng, Y. Tsai, and K. Cheng, A high-speed current mode sense amplifier for Spin-Torque Transfer Magnetic Random Access Memory, 2010 53rd IEEE International Midwest Symposium on Circuits and Systems, pp.181-184, 2010.
DOI : 10.1109/MWSCAS.2010.5548588